ASM International NV - Company Profile

Powered by

All the data and insights you need on ASM International NV in one report.

  • Save hours of research time and resources with
    our up-to-date ASM International NV Strategy Report

  • Understand ASM International NV position in the market,
    performance and strategic initiatives.

  • Gain competitive edge and increase your
    chances of success

Back to companies

ASM International NV: Segment Analysis

Business Description

ASM International NV (ASM) is a supplier of wafer processing equipment for semiconductor manufacturing. It manufactures and sells equipment used in wafer processing, encompassing the fabrication steps in which silicon wafers are layered with semiconductor devices. The company offers ALD, EPI, silicon carbide epitaxy, pecvd and vertical furnaces, and spare parts.

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

R&D Overview

ASM's R&D focuses on producing innovative products that meet customers’ expectations. Its R&D activities focus on common needs for advanced process and materials development and process integration work for the advanced nodes. Its innovation strategy focuses on a three-pronged innovation model, including basic materials and process R&D, process integration testing, and product development. The company’s product-development sites are centers of excellence for a subset of products and technology. It operates eight R&D facilities in Belgium, Finland, Italy, Japan, the Netherlands, South Korea, and the US. The company’s product development site in Almere, the Netherlands focuses on vertical furnaces; Phoenix site in the US focuses on Epi and thermal atomic layer deposition (ALD), whereas its Dongtan site in South Korea focuses on plasma-enhanced atomic layer deposition (PEALD), and Tama site in Japan focuses on plasma-enhanced chemical vapor deposition (PECVD) and PEALD, in collaboration with Dongtan. ASM's R&D portfolio, technology, and product roadmaps are aligned with its customers mutually defined controlled process. As of December 2022, the company had a portfolio of over 2,619 patents. It had 965 employees in its R&D. In FY2022, the company incurred expenses of EUR233.9 million on R&D, which as a percentage of revenue, stood at 9.7%.



Other Break-up

Performance

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

Performance

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

Gain a 360-degree view of ASM International NV and make more informed decisions for your business Gain a 360-degree view of ASM International NV and make more informed decisions for your business Find out more

Geographical Segments

Performance

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

Performance

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

Performance

Lorem ipsum dolor sit amet, consectetur adipiscing elit, sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat.

Gain a 360-degree view of ASM International NV and make more informed decisions for your business Gain a 360-degree view of ASM International NV and make more informed decisions for your business Find out more
Still looking?

Have you found what you were looking for? From start-ups to market leaders, uncover what they do and how they do it.

Explorer

Access more premium companies when you subscribe to Explorer

Start of HubSpot Embed Code End of HubSpot Embed Code